DISEÑO DE PROCESADOR RISC-V DE 32-BITS DE CICLO ÚNICO (DESIGN OF A SINGLE-CYCLE 32-BIT RISC-V PROCESSOR)

Marco Antonio Gurrola Navarro, Josué Vladimir Quiroga Esparza, Álvaro Emmanuel Avelar Huerta, Carlos Alberto Bonilla Barragán, Iván Rodrigo Padilla Cantoya, Agustín Santiago Medina Vázquez

Resumen


Resumen
En este trabajo se presenta el diseño de una Unidad Central de Procesamiento (CPU) de 32-bits de ciclo único empleando la arquitectura de conjunto de instrucciones RISC-V. Como resultado, se generó un núcleo blando que consta de varios archivos en lenguaje de descripción de hardware VHDL. Esta descripción puede compilarse y cargarse en una tarjeta de desarrollo FPGA para usarse como microcontrolador, o bien, puede sintetizarse hasta obtener un plano fabricable de circuito integrado. Siendo RISC-V una arquitectura de uso libre, una de las motivaciones del presente trabajo es fomentar el uso académico del conjunto de instrucciones RISC-V para realizar microarquitecturas de CPU, así como servir de apoyo para enseñar los conceptos básicos de Arquitectura de Computadoras.
Palabras Clave: FPGA, microarquitectura, procesador, RISC-V, VHDL.

Abstract
In this work, the design of a single-cycle 32-bit Central Processing Unit (CPU) using the RISC-V instruction set architecture is presented. As a result, a soft-core, consisting of several VHDL hardware description language files, was generated. This description can be compiled and loaded onto an FPGA development board for use as a microcontroller, or it can be synthesized into a fabricable integrated circuit layout. Being RISC-V a free-use architecture, one of the motivations of this work is to promote the academic use of the RISC-V instruction set to create CPU microarchitectures, as well as to support the teaching of the basic concepts of Computer Architecture.
Keywords: FPGA, microarchitecture, processor, RISC-V, VHDL.

Texto completo:

473-491 PDF

Referencias


RISC-V International, (2021). Specifications. https://riscv.org/technical/specifications/

RISC-V International, (2021). History of RISC-V. https://riscv.org/about/history/

RISC-V Foundation, (2019). The RISC-V Instruction Set Manual, Volume I: User-Level ISA, Document Version: 20191213 https://github.com/riscv/riscv-isa-manual/releases/download/Ratified-IMAFDQC/riscv-spec-20191213.pdf

F. Semiconductor, (2007). M68HC11 Reference Manual, Semiconductor, Freescale https://www.nxp.com/docs/en/reference-manual/M68HC11RM.pdf

Chu, P. P., 2011. Embedded SOPC design with NIOS II processor and VHDL examples. John Wiley & Sons.

Patterson, David A., Hennessy, John L., 2018. Computer organization and design, The hardware software interface, RISC-V edition, Cambridge, United States, Morgan Kaufmann.

Harris, David Money, Harris, Sarah L., 2013, Digital Design and Computer Architecture, Second Edition, Croydon, United Kindom, Morgan Kaufmann.

Laboratoire d'Informatique de Paris 6, Systèmes Embarqués sur Puce (SoC), Alliance A Free VLSI CAD System, Sorbonne Université, https://www-soc.lip6.fr/equipe-cian/logiciels/alliance/

Laboratoire d'Informatique de Paris 6, Coriolis VLSI CAD Tools, Alliance VLSI/CAD System, Sorbonne Université, http://coriolis.lip6.fr/pages/alliance

Chávez-Bracamontes, Ramón, Gurrola-Navarro, Marco Antonio, García-López, Reyna Itzel, Bandala-Sánchez, Manuel, “VLSI Design with Alliance Free CAD Tools: an Implementation Example”, Ingeniería Investigación y Tecnología, volumen 16, número 3, julio 2015, pag. 441-452, https://doi.org/10.1016/j.riit.2015.05.007

Medina-Vázquez, Agustín Santiago, Gurrola-Navarro, Marco Antonio, Flores-Castillo, Pablo David, Meda-Campaña, María Elena, Bonilla-Barragán, Carlos Alberto, Villegas-González, José Martín, “Metodología de bajo costo para implementar circuitos electrónicos integrados, un ejemplo de aplicación”, Ingeniería Investigación y Tecnología, volumen 20, número 3, julio 2019, pag. 1-11, https://doi.org/10.22201/fi.25940732e.2019.20n3.029

Terasic, DE10-Lite Board, https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=218&No=1021

Intel Corporation, Quartus Prime Lite Edition v18.1, https://fpgasoftware.intel.com/18.1/?edition=lite

Dos Reis, Anthony J., 2019. RISC-V Assembly Language, Copell, USA,? Independently Published.

Abella, J., Bulla, C., Cabo, G., et Al, 2020. An academic risc-v silicon implementation based on open-source components. In 2020 XXXV Conference on Design of Circuits and Integrated Systems (DCIS) (pp. 1-6). IEEE.

European Processor Initiative. Project : https://www.european-processor-initiative.eu/project/epi/

Kova?, M., et Al, 2019. European processor initiative: the industrial cornerstone of EuroHPC for exascale era. In Proceedings of the 16th ACM International Conference on Computing Frontiers, pp. 319-319.

Ramón Chávez-Bracamontes, Marco A. Gurrola-Navarro, Humberto J. Jiménez-Flores, Manuel Bandala-Sánchez, “VLSI architecture of a Kalman filter optimized for real-time applications”, IEICE Electronics Express, Volume 13 (2016), Issue 6, pp. 20160043.

Iniciativa Jalisco on Chip, 2021. Presentación rueda de prensa https://www.youtube.com/watch?v=aNWk5zWTMD8&ab_channel=GobiernodeJalisco






URL de la licencia: https://creativecommons.org/licenses/by/3.0/deed.es

Barra de separación

Licencia Creative Commons    Pistas Educativas está bajo la Licencia Creative Commons Atribución 3.0 No portada.    

TECNOLÓGICO NACIONAL DE MÉXICO / INSTITUTO TECNOLÓGICO DE CELAYA

Antonio García Cubas Pte #600 esq. Av. Tecnológico, Celaya, Gto. México

Tel. 461 61 17575 Ext 5450 y 5146

pistaseducativas@itcelaya.edu.mx

http://pistaseducativas.celaya.tecnm.mx/index.php/pistas